ハードウェアの気になるあれこれ

技術的に興味のあることを調べて書いてくブログ。主にハードウェアがネタ。

GnuPGで作成した鍵の有効期限を更新する

永らく更新をサボっておりました。。。 色々バタバタしていたこともあり、去年はほぼ何も趣味作業が出来ずじまいのまま一年が過ぎ去りました。 やっと落ち着いてきて作業も再開できそうな感じになってきたが、PCのクリーンインストールをしてその後放置状態だったため、各種環境の復元から始めることになってしまった。 このサボっている間にgithub等で使用していた各種鍵が期限切れになっており、git push すら出来ない事態に。 今回の記事はgpg2で生成した後、期限切れになった鍵を更新する方法についてメモ代わりに残したもの。

  • 鍵の更新
  • ssh-agentでgpg2で作成した認証鍵を使用する
続きを読む

Chisel3.5.0のリリースノートを確認した

年末にもうすぐChisel3.5.0が出そう、、、、と書いていたのだが、新年始まってバタバタしてたらとっくにリリースが行われていた。。。。 という事で、勝手に毎度おなじみにしているリリースノートの確認をやっていこうと思う。

  • Chisel v3.5.0がリリースされた
  • v3.4.0 -> v3.5.0へのアップグレード
  • Highlights
    • (#2045) Definition/Instance
    • (#1955) DataView
    • (#661) viewAsSuperType
    • (#1834) Vec Literals
    • (#2277) Seq/Tuple
    • Feature
    • (#1154) ExtModule now supports built in support for providing Verilog source
    • Naming improvements
      • (#1614) When prefixing with a data, eagerly get local name
      • (#1616) Use Data refs for name prefixing with aggregate elements
      • (#1634) Added Force Name API
    • (#1819) add new APIs to BitPat
    • Verification
      • (#1891) Guard statements with module reset
      • (#1968) create and extend annotatable BaseSim class for verification nodes
      • (#1992) Make printf return BaseSim subclass so it can be named/annotated
    • (#1964) Implement Espresso Decoder
    • (#1694) Add when.cond for getting the current when condition
    • (#1740) Parametrized Mem- & SyncReadMem-based implementation of the Queue class
    • (#1805) Import memory files inline for Verilog generation
    • (#1826) Make plugin autoclonetype always on
    • (#1921) Add getVerilog in Chisel3
    • (#1933) Merge minimized table before return as a TruthTable
    • (#1966) Add isOneOf method to ChiselEnum
    • (#2211) Add BitSet API
    • (#2197) Improve Data.toString
    • (#2077) implement trace API
    • (#1140) Circular-shift (rotate) operations for UInt
    • (#2030) Added flush capability to Queue
    • (#2059) Added VecInit factory methods (fill,iterate)
    • (#2065) Add multiple dimensions to VecInit fill and iterate
  • API Changes
    • Bundles can no longer be instantiated with bound hardware
    • Bundles no longer can be instantiated with bound types
    • (#1954) Stop Emitting BlackBoxResourceAnno.
      • (#2271) Require the chisel3 compiler plugin
    • (#2217) Refactor TruthTable to use Seq (#2217)
    • (#2149) Remove v3.4.0 version of autoNameRecursively (#2149)
    • (#2170) Remove .toBools (#2170)
    • (#2267) Remove ChiselExecutionOptions and HasChiselExecutionOptions (#2267)
    • (#245) refactor Queue.hasFlush: Boolean to Queue.flush: Option[Bool]. (#2245) -- change from RC1
    • (#2052) Remove all Bundle cloneTypes and chiselRuntimeDeprecate its use (#2052)
  • API Deprecations
    • (#1945) deprecate getPorts with modulePorts. (#1945)
    • (#2284) deprecate getModulePorts (#2284)
    • (#2302) Deprecate chisel3.internal.firrtl.Port (#2302)
    • (#2257) Deprecate chisel3.BackendCompilationUtilities (#2257)
    • (#2192) Deprecate computeName (#2192)
    • (#2149) Add nullary .fire to Valid and deprecate dummy version (#2156)
    • (#2124) Deprecate auto-application of empty argument lists to parameterless functions (#2124)
    • (#1730) Remove Deprecated APIs (#1730)
    • (#1744) remove 2.11 dedicated code. (#1744)
    • (8a73362) 8a73362 Remove val io
    • (7e4d1ee) Update docs for the removal of val io and MultiIOModule
    • (6c6ec71) Fold Chisel.CompatibilityModule into chisel3.internal.LegacyModule
  • Miscellany
    • (#1618) Provide user source locators in Builder.error errors
    • (#1623) Enable Cat of Zero Element Vec (#1623)
    • (#1630) Make -e option work with ChiselStage methods (#1630)
    • (#1854 add helper function to convert chirrtl to firrtl. (#1854)
    • (5ece5aa) Rename MultiIOModule to Module
続きを読む

2022年の抱負的なアレ

新年明けましておめでとうございます!

毎年これは書くようにしてるので、今年何をしたいかとについてざっくりと書いておこうと思います。 いわゆる年始のポエム的なやーつです。

  • やりたいこと
    • Chiselもっと使えるようになりたい&もっと広めたい
    • Rocket-Chipをもっと突っ込んで調べたい
    • ゲームボーイを完成させたい
    • 本書きたい
続きを読む

iotestersからChiselTestへの移行を考える

この記事はHDL (SystemVerilog/Verilog/VHDL/Chisel/etc.) Advent Calendar20日目の記事です。 Chiselも含まれてたので、Chiselネタで何か、、、、と考えた結果、あんまりちゃんと調べて&まとめてなかったChiselTestについて書くことにしました。

  • ChiselTest
    • ChiselTestを試したい
    • iotestersからの移行
      • build.sbtの修正
      • テストクラスの宣言
      • テストの実行:Driver -> test
      • テスト実行時のオプション
      • 信号の操作
    • ChiselTestの新機能
      • fork-join
      • timescope
      • timeout
続きを読む

ゲームボーイを作る(17) - LCDの調査

ゲームボーイを作るその17。CPUを作るのに若干飽きてきたので、LCD周りの調査を始めた。今回は入手したLCDについて調べた事を少しまとめてみる。

  • MSP2807
    • ESP32で動かす
    • Arduino IDEのインストールとESP32の設定
    • Adafruitライブラリの導入
    • 動作確認
      • スケッチの作成と微修正
      • ボードの接続
      • ESP32への書き込み
続きを読む